実際のところ
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Switches_LEDs is Port ( switches : in STD_LOGIC_VECTOR(1 downto 0); LEDs : out STD_LOGIC_VECTOR(1 downto 0)); end Switches_LEDs; begin LEDs <= switches; end Behavioral;
switches(0)が'1'、switches(1)が'0'のとき、これらは同じ意味です。
LEDs(0) <= switches(0); LEDs(1) <= switches(1);
LEDs <= switches(0) & switches(1);
LEDs <= "10";