Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
filetypes.verilog: add Verilog-2005 keywords
- Add Verilog-2001 and Verilog-2005 keywords to filetypes.verilog (right now only Verilog-1995 keywords are highlighted). These keywords were already included in ctags. - Add all System tasks and functions as of Verilog-2005 to `word2` list (right now only a handful appear; perhaps from an older standard). Details on added/removed keywords: Add new keywords from Verilog-2001 and Verilog-2005 standard (Annex B) (right now only Verilog-1995 keywords are listed): automatic generate endgenerate genvar localparam uwire config endconfig cell design instance liblist use library incdir include pulsestyle_ondetect pulsestyle_onevent showcancelled noshowcancelled Remove invalid Verilog keywords: attribute endattribute strength @ Put all "keywords used to declare nets/variables/constants/events" in `word3` list; leave rest in `word`. Add all functions/tasks listed under "System tasks and functions" in the Verilog-2005 standard (chapter 17).
- Loading branch information